case $THIS_SUB_DEPENDS in BLUEZ) is_depends_enabled $SPELL bluez && return 0;; esac return 1